e-tipsmemo

ごった煮

2023-12-01から1ヶ月間の記事一覧

VSCodeとModelSimでそこそこ楽なSystemVerilog開発環境

背景 VScodeでHDLを楽に書きたいと思った。 Verilog-HDLではなく、SystemVerilogを使用する。 フォーマッター 巷のソフトウェア言語に比べ、HDLのフォーマッターは少ないが、 Chipsallianceがフォーマッターをリリースしているので、それを使用する。 Releas…